Jonathan England

Professor Jonathan England


Professor of Ion Implantation Technology

About

Biography

Jonathan joined the Surrey Ion Beam Centre as Professor of Ion Implantation Technology in March 2017 after spending 25 years in the semiconductor equipment industry, 21 of those in ion implantation with Applied Materials and Varian Semiconductor Associates. He held senior management positions in the UK and USA leading the technical development of implantation equipment and collaborated with universities and small companies in USA, China, Korea, Australia, Europe and UK in research on implantation based processing of advanced memory devices, ultra-shallow transistor junctions, solar cells and solar glass. He holds over 30 granted US patents in the field of ion implantation.

Jonathan has been an industrial mentor for the Surrey University Ion Beam Centre Steering Committee, European SPIRIT Programme (Support of Public and Industrial Research using Ion Beam Technology), and the “Amorphous Chalcogenide-Based Optoelectronic Platform for Next Generation Optoelectronic Technologies” with Surrey, Southampton and Cambridge Universities.

After obtaining his degrees in physics and nuclear physics at the University of Manchester, Jonathan spent his early career working with ion beams in isotope mass spectrometry at Vacuum Generators and then Columbia University.

Research interests

I have a passion for modelling the ion beam assisted processing of nanostructures using software that I am helping to develop. High dose implantation processes such as plasma doping significantly alter the workpiece and so models must account for how substrates change during the process; understanding ion scattering effects associated with three dimensional nanostructures requires three dimensional codes.

Comparing models to reality means understanding and extending traditional metrology techniques. Depth profiling techniques that use ion beams to sputter the through a substrate distort shallow elemental profiles so I am excited to apply ion beam analysis techniques in the UK National Ion Beam Centre that can avoid perturbing the sample. My research includes extending these IBA methods to be used on three dimensional samples.

Whilst my recent background has revolved around leading edge Si devices and I am maintaining my industrial links, I am keen to apply the models and techniques above to as many diverse areas as possible. Current projects include investigating the production of isotopically enriched layers for quantum qbits; developing channelling to measure damage in Si, III-V compounds and SiC; commissioning a new time of flight ERD system for the high-resolution depth profiling of light species in thin films; extending three dimensional ion collision codes to include chemical reactions; and modelling sputtering by single ions and clusters via molecular dynamics.

Affiliations

Member of Institute of Physics.

Publications

An ion implanter for implanting ions in a target substrate is arranged to scan the ion beam at the point of extraction of the beam from the ion source. The ion beam extraction assembly includes a tectrode construction in which an extraction electrode adjacent the ion source aperture is split into two halves. A differential voltage is applied across the two halves of the extraction electrode to deflect the ion beam being extracted from the ion source electrostatically. The plane of deflection is arranged to coincide with the plane if dispersion of the ions in a mass analyser magnet downstream of the extraction point and the deflected beam of ions of desired mass/charge ratio is still brought to focus at a common mass selection slit at the exit of the analyser magnet.

To aid the understanding of crystallographic concepts, a C# computer programme “CrystalDraw” has been written to produce models of crystal structures and their associated axial and planar channelling directions in three-dimensions and to generate their corresponding two-dimensional projections. Visualisation of the models using specially written macros within Paraview illustrates how to orient and manipulate crystals for channelling measurements and guide interpretations of collected channelling data.

Jaap van den Berg, Andrew Rossall, Jonathan England (2019)Characterization of arsenic plasma doping and postimplant processing of silicon using medium energy ion scattering, In: Journal of Vacuum Science & Technology B37(3)032901pp. 032901-1 American Vacuum Society

Plasma doping (PLAD) is increasingly applied in microelectronic device manufacture to produce high throughput, high fluence implants. In this medium energy ion scattering (MEIS) study of the PLAD process, Si(100) wafers were exposed to an As containing plasma while pulse biased negatively to 7 kV to cause (recoil) implantation and deposition of As. Quantitative MEIS depth profiling analysis in conjunction with energy spectrum simulation was applied to characterize the near-surface layer changes of the Si wafer following (i) the PLAD process, (ii) two types of chemical wet clean (oxidizing and nonoxidizing), and (iii) spike annealing in an N2 atmosphere. MEIS analysis showed that the PLAD process produced an intermixed As/Si layer, with a near-surface As content of ∼40% that decayed almost linearly to near-zero at a depth of ∼17 nm. This mixed As/Si layer was unstable in air and the initially recorded 1.2 nm thick oxide cap layer grew over a period of four months to 3.5 nm with a concurrent 25% As loss by sublimation. The application of the industry standard, oxidizing wet chemical clean removed the top As and concurrently produced a ∼14 nm thick Si oxide above the remaining implanted As profile, which matched the tail of original As implant profile. As depth profiles measured for the 7 kV PLAD process after a wet clean and spike annealing showed solid phase epitaxial regrowth of the disordered layer. A detailed comparison of the random and aligned MEIS spectra yielded depth profiles of substitutional As with a concentration in excess of 1 × 1021 As cm−3 over a depth greater than 10 nm. The retained dose of 1.35 × 1015 cm−2 represents a ∼70% increase in substitutional As compared to that recorded after a nonoxidizing clean. Such an alternative wet chemical clean, in which Si reoxidation did not occur, was applied to determine the depth of the mixed As/Si layer removed. Found to be 7 nm, the analysis indicated that the etching process ceased when the Si concentration reached 4 × 1022 cm−3. After spike annealing, part of the remaining As had segregated in a thin layer under a 1.6 nm thick surface oxide. The retained As dose in this case was 8 × 1014 cm−2, equivalent to a 1% As substitutional dopant concentration to a depth of ∼14 nm. Different substitutional As doses measured with MEIS were found to correlate closely with sheet resistance measurements, confirming that equating the substitutional As with the active As dose remains correct for these ultrashallow profiles, typically 10 nm deep.

R Elliman, M Saleh, T-H Kim, D Venkatachalam, K Belay, S Ruffell, P Kurunczi, JG England (2013)Application of ion-implantation for improved non-volatile resistive random access memory (ReRAM), In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms307pp. 98-101 Elsevier

Resistive switching in transition metal oxides is believed to be controlled by the migration of oxygen vacancies and many interesting device structures employ substoichiometric oxide layers as a source of these active defects. However, the growth of thin (∼10 nm) oxide/suboxide heterostructures (e.g. HfO2/HfOx or Ta2O5/TaOx) is difficult using conventional film deposition techniques. In this study, ion-implantation is shown to provide an alternative means of synthesizing such structures, with results reported for Ta2O5/TaOx heterostructures fabricated by oxygen-implantation of Ta. The electrical properties of the fabricated heterostructures are discussed with reference to the physical structure of the samples determined from transmission electron microscopy and X-ray photoelectron spectroscopy.

Jonathan England, Jaap Van den Berg, Andrew Rossall (2019)Use of TRIDYN and medium energy ion scattering to calibrate an industrial arsenic plasma doping process, In: Journal of Vacuum Science & Technology B37(3)031206pp. 031206-1 American Vacuum Society

Plasma doping ion implantation (PLAD) is becoming increasingly important for enabling the manufacture of advanced semiconductor devices. In this study, a VIISTA PLAD implanter was used to implant planar 300 mm Si wafers with As/7 keV from an arsine containing plasma with a total ion fluence of 1 × 1016 ions/cm2. The wafers then underwent a wet chemical clean and anneal to mimic a full industrial process flow. The effects of each process step were measured using cross-sectional TEM images, TEM/energy dispersive spectroscopy measurements, and medium energy ion scattering (MEIS). The PLAD implantation process was modeled using dynamic trim (TRIDYN), a dynamic, binary collision approximation model that accounted for the interactions between wafers and the ions and neutrals produced by the PLAD implanter. MEIS spectra were analyzed to extract elemental concentration depth profiles using POWERMEIS guided by the outputs of the TRIDYN model. The input fluxes of the TRIDYN model were calibrated such that the predicted TRIDYN and MEIS profiles were self-consistent. Combining the different analysis techniques and considering elemental concentrations alongside a TRIDYN model enabled magnitudes of ion and neutral fluxes of Si, As, and H to be proposed, and the relative importance of direct implantation and ion beam mixing during the PLAD implant to be revealed. This, in turn, led to proposals for the sources of the ion and neutral species, the importance of Si neutrals originating from the plasma chamber over those originating from the Si bulk in the “deposited” layer being of particular interest. Following the evolution of the as-implanted profiles through the wet clean and anneal steps gave insights into how the PLAD implant affected the results of the full process flow.

Kyungsu Park, Jwasoon Kim, Won Ja Min, Gabriel Marmitt, Jonathan England, Dae Won Moon (2019)Determination of Dimension and Conformal Arsenic Doping Profile of a FinFET by Time of Flight-Medium Energy Ion Scattering, In: ANALYTICAL CHEMISTRY AMERICAN CHEMICAL SOCIETY

We have developed a methodology that analyzes the dimensions and conformal doping profiles in fin field effect transistors (FinFET) using time-of-flight medium energy ion scattering (TOF-MEIS). The structure of a 3D FinFET and As dopant profiles were determined by comprehensive simulations of TOF-MEIS measurements made in three different scattering geometries. The width and height of a FinFET and the As doping profiles in the top, side, and bottom of fin were analyzed simultaneously. The results showed the dimension and confomal doping profile of nanostructures with complex shape can be determined by TOF-MEIS non-destructively, quantitatively, and with sub-nm depth resolution without any sputtering and matrix effects.

Jonathan England, C.E.A. Cook, D.G. Armour, M.A. Foad (1995)Charged particle energy spectrometers and their applications in fundamental studies of wafer charging and ion beam tuning phenomena, In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms96(1-2)pp. 39-42 Elsevier

The measurement of charged particle energies has been a key technique used in fundamental investigations of wafer surface charging phenomena and ion beam propagation at Applied Materials. A previous paper described the use of a spectrometer incorporating hemispherical energy analysers which was used for the measurement of potentials present inside ion beams from the spectroscopy of “slow ions” emitted from the beams, and the determination of relatively high potentials on surfaces from the energy spectra of sputtered ons. Extension of this work to measure low surface voltages induced on an oxide wafer during implantation has given experimental confirmation that beam potentials influence surface potentials. Other spectrometer types have also been used. Cylindrical mirror analysers, having the advantages of simplicity and compactness, have been used for ion spectroscopy inside Precision Implant 9500 systems. These have given valuable insight into the effects of ion source tuning on beam potential and, in particular, the correlation between beam quality and device yield. Retarding field analysers have also been used for ion energy measurements, but their main application has been for electron spectroscopy due to their immunity from the problems of internally reflected electrons experienced by other spectrometer types. This paper will discuss the operation of these various spectrometers and review some results relevant to ion beam tuning and wafer surface charge control phenomena.

J England, MW Phaneuf, A Laquerre, A Smith, R Gwilliam (2011)Ion beam assisted crystallization of amorphous silicon layers using high current density Gallium beams, In: Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms
M. K. Sharpe, I. P. Marko, D. A. Duffy, J. England, E. Schneider, M. Kesaria, V. Fedorov, E. Clarke, C. H. Tan, S. J. Sweeney (2019)A comparative study of epitaxial InGaAsBi/InP structures using Rutherford backscattering spectrometry, X-ray diffraction and photoluminescence techniques, In: JOURNAL OF APPLIED PHYSICS126(12)125706 AMER INST PHYSICS

In this work, we used a combination of photoluminescence (PL), high resolution X-ray diffraction (XRD), and Rutherford backscattering spectrometry (RBS) techniques to investigate material quality and structural properties of MBE-grown InGaAsBi samples (with and without an InGaAs cap layer) with targeted bismuth composition in the 3%–4% range. XRD data showed that the InGaAsBi layers are more homogeneous in the uncapped samples. For the capped samples, the growth of the InGaAs capped layer at higher temperature affects the quality of the InGaAsBi layer and bismuth distribution in the growth direction. Low-temperature PL exhibited multiple emission peaks; the peak energies, widths, and relative intensities were used for comparative analysis of the data in line with the XRD and RBS results. RBS data at a random orientation together with channeled measurements allowed both an estimation of the bismuth composition and analysis of the structural properties. The RBS channeling showed evidence of higher strain due to possible antisite defects in the capped samples grown at a higher temperature. It is also suggested that the growth of the capped layer at high temperature causes deterioration of the bismuth-layer quality. The RBS analysis demonstrated evidence of a reduction of homogeneity of uncapped InGaAsBi layers with increasing bismuth concentration. The uncapped higher bismuth concentration sample showed less defined channeling dips suggesting poorer crystal quality and clustering of bismuth on the sample surface.

Jonathan England, David Cox, Nathan Cassidy, Bobur Mirkhaydarov, Andres Perez-Fadon (2019)Investigating the formation of isotopically pure layers for quantum computers using ion implantation and layer exchange, In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms461pp. 30-36 Elsevier

Quantum computers have been proposed that exploit entangled quantum states between atoms that are isolated from environmental perturbations in a “semiconductor vacuum” which can be formed by cryogenically cooling an isotopically pure, defect free crystalline layer consisting of Si, or Ge. In a preliminary investigation of an implant and deposition layer exchange technique to produce such “vacuums”, a layer of aluminium was implanted with 28Si using a conventional implanter. After annealing and cross sectioning, layer exchange was observed to have produced multiple isolated crystals in a cross sectional TEM image. Further deposited Al layers were implanted with Ge using a SIMPLE (Single Ion Multispecies Positioning at Low Energy) implanter over a range of fluences. After anneals at 250 °C and Al removal, crystals of Ge (which also contained Si) were seen at areal densities that increased with implant fluence.

JG England, W Moller (2015)Industrial Challenges in Ion Beam Processing and Metrology in the 3D Era, In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms365(Part A)pp. 105-109

Ion beam mechanisms present in plasma doping have been investigated by comparing SIMS measurements of Ge implants into deposited layers of As on Si wafers to planar dynamic ion beam models of the implants and SIMS analyses. Industrial devices are overcoming the limitations of lateral scaling by using the vertical direction. The same modelling approach would be valuable for interpreting 1.5D SIMS analyses of plasma doping of 3D-NAND test structures but 3D dynamic codes do not yet have all the capabilities to allow this. The required features are being developed within a static 3D code, TRI3DSTP, which has been used to qualitatively explain the good uniformity of a P plasma doping process and indicate where more quantitative explanations will be possible once the full dynamic capabilities are available.

S Ruffell, P Kurunczi, JG England, Y Erokhin, J Hautala, R Elliman (2013)Formation and characterization of Ta2O5/TaOx films formed by O ion implantation, In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms307pp. 491-494 Elsevier

Ta2O5/TaOx (oxide/suboxide) heterostructures are fabricated by high fluence O ion-implantation into deposited Ta films. The resultant films are characterized by depth profiling X-ray photoelectron spectroscopy (XPS), cross-sectional transmission electron microscopy (XTEM), four-point probe, and current–voltage and capacitance–voltage measurements. The measurements show that Ta2O5/TaOx oxide/suboxide heterostructures can be fabricated with the relative thicknesses of the layers controlled by implantation energy and fluence. Electrical measurements show that this approach has promise for high volume manufacturing of resistive switching memory devices based on oxide/suboxide heterostructures.

Jonathan England, Laurie Reisberg, Franco Marcantonio, Alan Zindler (1992)Comparison of one- and two-color ionization schemes for the analysis for osmium and rhenium isotopic ratios by sputter-induced resonance ionization mass spectrometry, In: Analytical Chemistry64(21)pp. 2623-2627 American Chemical Society

Sputter-Induced resonance Ionization mass spectrometry Is a technique In which atoms sputtered from a sample are Ionized using a pulsed laser beam. To maximize sample utilization, a pulsed Ion beam Is used to atomize the sample so there is a high temporal and spatial overlap between the atom plume and laser beam. The frequency of the laser Is tuned to resonantly excite electrons In the atoms Into an excited state and then nonresonantly Into the continuum. A two-color scheme which uses a portion of the excimer pump laser to excite the Ionization step was Investigated as a method of Increasing the ionization signal. Ionization Intensity enhancements In many transitions In Re and Os were measured. Isotope ratio measurements of Re filaments showed more sample to sample variations due to varying conditions of the laser beams and a higher bias than corresponding analyses of loaded samples.

Jonathan England, I.S. Grant, J.A.R. Griffith, D.E. Evans, D.A. Eastham, G.W.A. Newton, P.M. Walker (1990)Isotope shifts and hyperfine splitting in144-154Sm I, In: Journal of Physics G: Nuclear and Particle Physics16(1)pp. 105-123 IOP Publishing

The isotope shifts and hyperfine splitting have been measured in 144-154Sm I using the crossed-beam laser fluorescence method. Transitions at 598.98 nm and 570.68 nm were investigated for all isotopes except 146Sm and 153Sm, in which measurements were only obtained at 570.68 nm. Laser-induced fluorescence has not previously been reported for 145Sm: the hyperfine structure for the ground state of this isotope leads to mu =1.123 mu N, Q(spectroscopic)=-0.60e2b2 and delta (r2) (144-145)=0.11 fm2. The magnetic dipole and electric quadrupole moments of the odd isotopes and the changes in mean square radii of the even ones are shown to be consistent with the information obtained from nuclear spectroscopy.

H. Trombini, I. Alencar, G. G. Marmitt, R. Fadanelli, P. L. Grande, M. Vos, J. G. England (2019)Profiling As plasma doped Si/SiO₂ with molecular ions, In: Thin Solid Films Elsevier

Arsenic profiles in plasma doped silicon wafers were traced by scattering of H+ and H+₂ ions at medium energies. Two wafers were doped with the same bias, gas pressure, total implanted dose and AsH₃ concentration. After implantation, the wafers were submitted to industrial cleaning processes and one wafer was subjected to an additional thermal treatment. Scattering spectra of single and molecular ion beams with the same energy per nucleon and charge state differed only by the energy broadening due to the break-up of the molecule, allowing depth profiling by calculation of the dwell time before the backscattering collision. On average, we observed a density reduction of 13% in the SiO₂ overlayer grown after the implantation process. In addition, the arsenic depth-profile determined were in close agreement with independent findings obtained by electron techniques.

Jonathan England, A. Zindler, L.C. Reisberg, J.L. Rubenstone, V. Salters, F. Marcantonio, B. Bourdon, H. Brueckner, P.J. Turner, S. Weaver, P. Read (1992)The Lamont—Doherty Geological Observatory Isolab 54 isotope ratio mass spectrometer, In: International Journal of Mass Spectrometry and Ion Processes121(3)pp. 201-240 Elsevier

The Lamont—Doherty Geological Observatory (LDGO) Isolab 54 is a double focussing isotope ratio mass spectrometer that allows the measurement of thermal ions produced on a hot filament, (thermal-ionization mass spectrometry (TIMS)), secondary ions produced by sputtering a sample using a primary ion beam, (secondary ion mass spectrometry (SIMS)), and sputtered neutrals resonantly ionized using laser radiation, (sputter-induced resonance ionization mass spectrometry (SIRIMS)). Sputtering is carried out using an Ar primary beam generated in a duoplasmatron and focussed onto the sample using a two-lens column. Resonance ionization is accomplished using a frequency-doubled dye laser pumped by an excimer laser. The Isolab's forward geometry analyzer, consisting of an electrostatic followed by a magnetic sector, allows the simultaneous collection of different isotopes of the same element. This instrument is the first to have a multicollector that contains an ion-counting system based on a microchannel plate as well as traditional Faraday cups. A second electrostatic sector after the multicollector is equipped with an ion-counting Daly detector to allow high abundance sensitivity for measurements of large dynamics range. Selectable source, collector, α and energy slits on the instrument allow analyses to be made over a range of mass resolving powers and analyzer acceptances. Recent applications of the instrument have included the analyses of U by TIMS, Hf, Th and Re by SIMS and Re and Os by SIRIMS.

D. A. Eastham, P. M. Walker, J. A. R. Griffith, D. E. Evans, Jonathan England, I. S. Grant (1984)Optical isotope shifts of 146Sm and 151Sm, In: Zeitschrift fur Physik A Atoms and Nuclei318(2)pp. 243-244 Springer-Verlag

We have measured the optical isotope shifts of146Sm and151Sm by laser resonance fluorescence. From these measurements the changes in the mean square nuclear radii are: Δ〈r2〉 (A=144 to 146)=0.266(10) fm2, and Δ〈r2〉 (A=151 to 152)=0.262(10) fm2. These results, together with those of the stable isotopes, show that the average nuclear expansion of samarium can be accounted for by the liquid drop model with deformations.

M. I. Current, D. Lopes, M.A. Foad, Jonathan England, C. Jones, D. Su (1998)200 eV–10 keV boron implantation and rapid thermal annealing: Secondary ion mass spectroscopy and transmission electron microscopy study, In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures16(1)pp. 327-333 American Vacuum Society

Atomic profiles (secondary ion mass spectroscopy) and cross-section transmission electron microscopy (TEM) images of selectively etched, annealed profiles were studied for boron energies from 200 eV to 10 keV and rapid thermal processing anneals at 900, 975, and 1050 °C. Consistent variations of dopant depth were obtained over this process range. TEM images showed evidence of lateral dopant variation near the edges of poly-Si gate structures, perhaps an effect of lateral straggling and reflection of ions from the polymask.

Sanjoy Kumar Nandi, Dinesh Kumar Venkatachalam, Simon Ruffell, Jonathan England, Pedro Luis Grande, Maarten Vos, Robert Glen Elliman (2018)Room temperature synthesis of HfO2/HfO x heterostructures by ion-implantation, In: Nanotechnology29(42)425601pp. 1-9 IOP Publishing

Implantation of Hf films with oxygen ions is shown to be an effective means of fabricating high-quality HfO2/HfO x heterostructures at room temperature, with the layer composition and thicknesses determined by the ion energy and fluence. Implantation with 3 keV O+ ions to a fluence of 1 × 1017 ions cm−2 produces a polycrystalline (monoclinic-) HfO2 layer extending from the surface to a depth of ~12 nm, and an underlying graded HfO x layer extending an additional ~7 nm, while implantation with 6 keV O to a similar fluence produces a near-stoichiometric surface layer of 7 nm thickness and a graded substoichiometric layer extending to depth of ~30 nm. These structures are shown to be broadly consistent with oxygen range data but more detailed comparison with dynamic Monte Carlo simulations suggests that the near-surface region contains more oxygen than expected from collisional processes alone. The bandgap and dielectric strength of the HfO2 layer produced by 3 keV; 1 × 1017 ions cm−2 implant is shown to be indistinguishable from those of an amorphous film deposited by atomic layer deposition at 200 °C. The utility of these layers is demonstrated by studying the resistive switching properties of metal-oxide-metal test structures fabricated by depositing a top metal contact on the implanted film. These results demonstrate the suitability of ion-implantation for the synthesis of functional oxide layers at room temperature.

Barbara Salonikidou, Takeda Yasunori, Brice Le Borgne, Jonathan England, Tokito Shizuo, Radu Sporea (2019)Toward Fully Printed Memristive Elements: a-TiO2 Electronic Synapse from Functionalized Nanoparticle Ink., In: ACS Applied Electronic Materials1(12)pp. 2692-2700 American Chemical Society

Electronic devices that emulate biofunctionalities, such as synaptic plasticity, present a promising route to versatile and energy-efficient neuromorphic computing systems. As the demand for rapid prototyping and environmentally friendly fabrication of such devices rises, there are significant incentives toward finding solutions for low-cost materials and flexible deposition techniques. The development of printed electronic devices is still at an infant stage, presenting a timely opportunity to investigate material robustness and routes to overcoming fabrication obstacles toward fully printed electronic synapses. In this work, a low-power, fully printed Ag (200 nm)/a-TiO2 (80 nm)/Ag (160 nm) memristive device is demonstrated. The first electrical characterization of early devices exhibits biomimetic properties with an indication of activity-dependent plasticity. The active material is derived from a simplified nanoparticle ink formulation developed in-house. The ink characterization confirms that the formulation fulfills the criteria for efficient jetting while exhibiting a dwell time of 4 months. Additionally, the common detrimental fabrication issues of layer cracks and control over uniformity here are both overcome. The ink optimization and the investigation of the electrical framework under which the memristive element responds synaptically present a favorable approach to alternative fabrication methods for future neuromorphic electronics.

D A Eastham, Jonathan England, D E Evans, M J Fawcett, I S Grant, J A R Griffith, G W A Newton, P M Walker (1984)Optical isotope shift and hyperfine structure of153Sm, In: Journal of Physics G: Nuclear Physics10(12)pp. L271-L274

Measurements of the optical isotope shift and hyperfine structure of 153Sm are described. The quadrupole moments and mean square charge radii of samarium isotopes are discussed qualitatively in relation to nuclear deformation.

B.C. Bussell, PN Gibson, JOSEPH DANIEL LAWTON, PIERRE COUTURE, M.K. Sharpe, J. England, STEVEN JOHN HINDER, VLAD STOLOJAN, S.A. Thornley, MARK ALAN BAKER (2022)The effect of RF plasma power on remote plasma sputtered AZO thin films, In: Surface and Coatings Technology Elsevier

Aluminium-doped ZnO (AZO) thin films were deposited by remote plasma sputtering of a ZnO:Al2O3 98:2 wt.% ceramic target in a pulsed DC configuration. The target power was kept constant at 445 W and the RF plasma power was varied between 0.5 and 2.5 kW. The as-deposited AZO thin films exhibited an optimum resistivity of 6.35 x 10-4 .cm and optical transmittance of 92 % at a RF plasma power 1.5 kW. The thin film microstructure, chemical composition, and residual stress were investigated using SEM, RBS, XPS and XRD. Accurate determination of the chemical composition and correct interpretation of GIXRD data for AZO thin films are a particular focus of this work. The AZO layer thickness was 500 - 700 nm and Al content in the range of 2.3 - 3.0 at.%, determined by RBS. The AZO thin films exhibited a strong (002) preferential orientation and grain sizes between 70 and 110 nm. The (103) peak intensity enhancement in GIXRD is proven to be a result of the strong (002) preferential orientation and GIXRD geometrical configuration rather than a change in the crystallite orientation at the surface. XPS depth profiles show preferential sputtering of O and Al using a 500 eV Ar+ beam, which can be reduced, but not eradicated using an 8 keV Ar150+ beam. The preferential sputtering can be successfully modelled using the simulation software TRIDYN. A plasma power of 1.5 kW corresponds to a highly ionised plasma and various microstructural and compositional factors have all contributed to the optimum low resistivity occurring at this plasma power. The grain size exhibits a maximum in the 1.25 - 1.5 kW range and there is improved (002) orientation, minimising grain boundary scattering. The highest carrier concentration and mobility was observed at the plasma power of 1.5 kW which may be associated with the maximum in the aluminium doping concentration (3.0 at.%). The lowest residual stress is also observed at 1.5 kW.

Ella Schneider, Jonathan England (2023)Isotopically Enriched Layers for Quantum Computers Formed by 28Si Implantation and Layer Exchange, In: ACS Applied Materials and Interfaces15(17)pp. 21609-21617 American Chemical Society

28Si enrichment is crucial for production of group IV semiconductor-based quantum computers. Cryogenically cooled, monocrystalline 28Si is a spin-free, vacuum-like environment where qubits are protected from sources of decoherence that cause loss of quantum information. Currently, 28Si enrichment techniques rely on deposition of centrifuged SiF4 gas, the source of which is not widely available, or bespoke ion implantation methods. Previously, conventional ion implantation into naturalSi substrates has produced heavily oxidized 28Si layers. Here we report on a novel enrichment process involving ion implantation of 28Si into Al films deposited on native-oxide free Si substrates followed by layer exchange crystallization. We measured continuous, oxygen-free epitaxial 28Si enriched to 99.7%. Increases in isotopic enrichment are possible, and improvements in crystal quality, aluminum content, and thickness uniformity are required before the process can be considered viable. TRIDYN models, used to model 30 keV 28Si implants into Al to understand the observed post-implant layers and to investigate the implanted layer exchange process window over different energy and vacuum conditions, showed that the implanted layer exchange process is insensitive to implantation energy and would increase in efficiency with oxygen concentrations in the implanter end-station by reducing sputtering. Required implant fluences are an order of magnitude lower than those required for enrichment by direct 28Si implants into Si and can be chosen to control the final thickness of the enriched layer. We show that implanted layer exchange could potentially produce quantum grade 28Si using conventional semiconductor foundry equipment within production-worthy time scales.

Niels Claessens, Pierre Couture, Jonathan England, Rita Vos, Thomas Hantschel, Wilfried Vandervorst, André Vantomme, Johan Meersschaut (2022)Ensemble RBS: Probing the compositional profile of 3D microscale structures, In: Surfaces and interfaces32102101 Elsevier B.V

•Analysis of 3D micron scale devices is demonstrated using ensemble RBS.•High sensitivity is achieved by probing many 3D devices simultaneously.•Ensemble RBS is applied to the study of microfluidic devices.•Enhanced capabilities of ensemble RBS relative to microbeam RBS are demonstrated. Rutherford backscattering spectrometry (RBS) is an analytical method able to provide quantitatively elemental information with high accuracy in the near surface region of samples. However, the technique conventionally lacks the required (sub)micron spatial resolution for many semiconductor applications. Firstly, the ion beam current of a highly focused beam is very small, limiting the analytical sensitivity of the measurement. Secondly, the exposure of a sample to a highly focused ion beam readily leads to sample damage, surface sputtering, and accordingly to a measurement error. As a solution to these problems, ensemble RBS is presented whereby multiple devices are measured simultaneously using a broad beam. A judicious choice of the scattering conditions and related data interpretation nevertheless leads to the ability to analyse 3D-devices of micrometre sizes. We demonstrate the potential of this approach through the analysis of atomic species present on the different surfaces of 3D-microfluidic devices. The performance of the technique is demonstrated by the analysis of microfluidic devices after Pt deposition at an oblique angle, and the analysis of the same microfluidic devices after a site-selective deposition of a sub-monolayer of Hf. Further, the performance of ensemble RBS on these structures is compared to the one of microbeam RBS. [Display omitted]

A. Baset Gholizadeh, Alex S Walton, Richard Smith, Jonathan England, Christopher Craig, Dan Hewak, Richard J Curry (2020)Photo-Seebeck study of amorphous germanium--tellurium-oxide films, In: Journal of Materials Science: Materials in Electronics31pp. 22000-22011 Springer

The measurement of the Seebeck coefficient of thin film (100 nm) amorphous germanium telluride containing~31% oxygen under dark conditions and when exposed to monochromatic light in the 400 nm to 1800 nm wavelength region is reported. Exposure of the films to light is found to reduce the absolute value of the Seebeck coefficient compared to that measured in the dark. Furthermore, the magnitude of this reduction displays a distinctive spectral dependence over the wavelength range covered. The observed behaviour suggests that these measurements provide a method determining the optical bandgap of thin amorphous chalcogenide films. Further analysis of the data, along with that of X-ray photoelectron spectroscopy and photoconductivity studies, is used to determine the presence of sub-bandgap defect states and their role in determining the optical response of the Seebeck coefficient.

ELEANOR BEATRICE SCHNEIDER, JONATHAN GERALD ENGLAND, LUKE ANTWIS, ALEX FRASER ROYLE, ROGER PAUL WEBB, Russell Gwilliam (2021)A Study of the Formation of Isotopically Pure 28Si Layers for Quantum Computers using Conventional Ion Implantation, In: Journal of Physics D: Applied physics54(35)355105 IOP Publishing

We have investigated the use of conventional ion implantation to fabricate enriched 28 Si layers for use in quantum computers. The final compositions of samples enriched using ultra-low energy (800 eV and 2 keV) and low energy (20 keV) 28 Si implants of varying fluences (1x10 16-3.8x10 17 cm-2) using two different implanters were measured using channelled Rutherford Backscattering Spectroscopy. The dynamic, binary collision approximation program TRIDYN was used to model the implantation profiles to guide the analysis of the RBS spectra. It was found that ultra-low energy implants achieved high 28 Si enrichment levels but were heavily contaminated with oxygen due to poor vacuum in the implanter wafer end station. It was shown that oxidation could be reduced by using an accelerator with an end station with better vacuum and increasing the implant energy to 20 keV. However, TRIDYN simulations predict that the best 28 Si enrichment levels that could be achieved under these conditions would saturate at ~99.2 % due to self-sputtering. We modelled a range of conditions with TRIDYN and so recommend low energies (99.9 %) with the lowest possible fluences (~5-10x10 17 cm-2).

Barbara Salonikidou, Adnan Mehonic, Yasunori Takeda, Shizuo Tokito, Jonathan England, Radu A Sporea (2022)Inkjet-Printed Ag/a-TiO2/Ag Neuromorphic Nanodevice Based on Functionalized Ink, In: Advanced engineering materials Wiley

This study aims to contribute to the burgeoning field of brain-inspired computing by expanding it beyond conventional fabrication methods. Herein, the obstacles toward the effective inkjet printing process are encountered and the electrical characteristics are explored, providing new insights into the reliability aspects of fully printed Ag/a-TiO2/Ag electronic synapses. The versatility of the approach is further enhanced by the highly stable in-house-developed a-TiO2 ink, exhibiting optimal shelf life of five months and repeatable jetting, producing layers with nanoscale thickness resolution. Most importantly, device electrical characterization reveals synaptic dynamics, leading to activity-dependent conductance state retention and adaptation characteristics, implying inherent learning capabilities. The synaptic dynamics are attained by solely adjusting the duty cycle of the applied pulsed voltage trigger, while keeping amplitude and polarity fixed, a method readily compatible with realistic applications. Furthermore, I-V analysis demonstrates a dynamic range dependence on a-TiO2 layer thickness and conduction mechanism that is akin to the conventionally developed electronic TiO2 synapses. The developed devices provide a time- and cost-effective ecologically benign alternative toward biomimetic signal processing for future flexible neural networks.

Jonathan England, Alexander Kontos, Anthony Renau, Russell Gwilliam, Andrew Smith, Andrew Knights, Amitabh Jain, Edmund G. Seebauer, Susan B. Felch, Amitabh Jain, Yevgeniy V. Kondratenko (2008)The Effect of Amorphization Conditions on the Measured Activation of Source Drain Extension Implants, In: AIP Conference Proceedings1066pp. 91-94

Un‐patterned wafers were processed using low‐dose Indium or medium‐dose Germanium pre‐amorphization implants (PAI) followed by p‐type dopant implants of BF 2 or carborane (CBH). The wafers were then annealed by RTA (spike), laser anneal (LSA) or combination of LSA and spike. Active dopant distributions calculated from SIMS and sheet resistance measurements compared favorably with those determined by differential Hall, which is a challenging technique for shallow profiles. The trends in B diffusion behavior and activation are discussed in relation to the different implant damage budgets, damage evolution during the anneals and presence of fluorine. In particular, for low thermal budget LSA only anneals, CBH implants appear to give higher activation than BF 2 due to the absence of fluorine.

Jonathan England, J.A. van den Berg, A.K. Rossall, I. Alencar, G.G. Marmit, H. Trombini, P.L. Grande (2016)Combining Medium Energy Ion Scattering measurements with TRIDYN dynamic modelling to characterise a plasma doping process

MEIS (Medium Energy Ion Scattering) analysis has the great advantage over other profiling methods such as SIMS and dynamic XPS and in that it can measure the absolute number of atoms in a sample without the complications of sputtering and matrix dependent effects, which are particularly important in shallow samples. Profiling by TEM/EDS does not suffer from these effects, but can only quantify profiles as atomic fractions. MEIS spectra can be transformed into depth profiles in terms of layers containing a number of atoms per unit area; converting such data to report layers of different thicknesses and atomic concentrations requires knowledge of the local density in each layer which is information strictly not contained in a straightforward MEIS energy spectrum.

Jonathan England, L. Joyce, C. Burgess, S. Moffatt, M. Foad, D. Armour, M. Current (1997)The Applied Materials xRLEAP ion implanter for ultra shallow junction formation, In: Proceedings of 11th International Conference on Ion Implantation Technologypp. 470-473

As semiconductor device design rule dimensions continue to shrink, there is a demand for transistor junction depths to decrease. New processes are required that involve lower energy implants but the reduced beam currents available due to space charge limits in beam generation and transport at these lower energies can limit productivity to such a level that other non-implant technologies become attractive. The Applied Materials xR80 implanter uses state of the art beam generation and extraction optics coupled to an open geometry, short beamline to produce enhanced performance to energies down to 2 keV. The xRLEAP significantly increases beam currents at these energies and further reduces the energies at which product worthy beam currents can be obtained by the use of high transmission energy retardation optics added to the xR80 system. The milliampere beam currents achieved down to energies of a few hundred electron volts will extend the capability of ion implantation to manufacture product worthy shallow junction devices.

Jonathan England, W Möller, JA van den Berg, A Rossall, WJ Min, J Kim (2017)Combining Dynamic Modelling Codes with Medium Energy Ion Scattering Measurements to Characterise Plasma Doping, In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms409pp. 60-64 Elsevier

Plasma doping ion implantation (PLAD) is becoming increasingly important in the manufacture of advanced semiconductor device structures but a fundamental understanding of PLAD is complicated. A model of PLAD into planar substrates has been constructed using the one dimensional computer code TRIDYN to predict collision cascades and hence substrate compositional changes during implantation. Medium Energy Ion Scattering (MEIS) measurements of dopant profiles in PLAD processed samples were used to calibrate the input ion and neutral fluxes to the model. Rules could then be proposed for how post implant profiles should be modified by a cleaning step. This learning was applied to a three dimensional TRI3DYN based model for PLAD implants into FinFET like structures. Comparison of the model to dopant profile measurements made by time of flight (TOF)-MEIS revealed the angular distributions of neutral species and doping mechanisms acting in three dimensional structures.

Jonathan England, Lucio Dos Santos Rosa, Won Ja Min, Jwasoon Kim (2018)TRI3DYN Modelling and MEIS Measurements of Arsenic Dopant Profiles in FinFETS, In: Proceedings of IIT 2018 IEEE

Implant processes that produced a variety of arsenic dopant profiles in 130nm tall, 110nm pitch FinFET test structures were modelled using the dynamic, binary collision approximation code TRI3DYN and measured using a commercial time of flight medium energy ion scattering (TOF-MEIS) tool. Dopant profiles in the tops and sidewalls of the FinFETS were extracted from MEIS spectra using the 3D capability of the POWERMEIS code. The qualitative agreement between TRI3DYN predictions and MEIS measurements showed the need for POWERMEIS to account for the effects of multiple scattering (which has yet to be implemented) before more quantitative conclusions regarding the absolute accuracy of TRI3DYN can be made. Nevertheless, this work has shown the promise of TRI3DYN and TOF-MEIS as tools to predict and characterise the outcomes of implantation into 3D structures.

Techniques for measuring energy contamination using time-of-flight (TOF) sensor are disclosed. In one particular exemplary embodiment, the techniques may be realized as a method for detecting energy contamination in an ion beam using time-of-flight comprising directing an ion beam towards an entrance of a sensor, wherein the ion beam may include charged particles and neutral particles, blocking the ion beam periodically from entering the sensor and allowing a pulse of the ion beam to enter the sensor periodically using a gate mechanism, separating the charged particles and the neutral particles of the ion beam pulse based at least in part upon different transit times over a distance caused by variations in at least one of mass and energy associated with the charged particles and the neutral particles, and detecting at least one of the charged particles and the neutral particles separately at a detector based at least in part upon the different transit times.

Hiroyuki Ito, Jonathan England, Frederick Plumb, Ian Fotheringham (1995)Plasma flood system for the reduction of charging of wafers during ion implantation

A plasma flood system for use in the implantation of ions in a semiconductor substrate comprising a plasma and low energy electron source for developing a plasma containing low energy electrons for magnetic field enhanced transmission to a negatively biased, magnetic field assisted electron confinement tube and into an ion beam flowing axially through the tube to the semiconductor substrate for self regulating and neutralizing positive charges on the surface of the substrate without causing significant negative charging of the substrate.

P. Malone, W. Shull, Jonathan England, I. Fotheringham (1995)A Demonstration using the THOR Monitor that Beam Quality Can Affect Device Yield, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
Jonathan England, Frank Sinclair, John Koo, Rajesh Dorai, Ludovic Godet (2011)Particle beam assisted modification of thin film materials

Several examples of a method for processing a substrate are disclosed. In a particular embodiment, the method may include: introducing a plurality of first particles to a first region of the substrate so as to form at least one crystal having a grain boundary in the first region without forming another crystal in a second region, the second region adjacent to the first region; and extending the grain boundary of the at least one crystal formed in the first region to the second region after stopping the introducing the plurality of first particles.

A technique for improving ion implantation based on ion beam angle-related information is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for improving ion implantation. The method may comprise obtaining angle-related information associated with an ion beam. The method may also comprise calculating, based on the angle-related information, an ion beam angle distribution over a wafer for one or more potential scanning modes. The method may further comprise selecting a desired scanning mode from the one or more potential scanning modes based on an evaluation of performance metric caused by the ion beam angle distribution.

Jonathan England, M. McLaren, R. Mitchell, Y. Uritsky (1997)Elemental analysis of ion implantation added particles, In: Proceedings of 11th International Conference on Ion Implantation Technologypp. 158-161

Defect reduction programs often start by using size distributions and locations on wafers to give clues as to the origin of particles. The analysis of trends in the composition of the particles allows further information to be gathered. The morphology and elemental composition of particles added during implants using two Applied Materials implanters have been studied. The position and size of particles were first measured using a Tencor Surfscan 6200 and pre-and post-implant measurements used to determine which particles were added during the implant. The wafers were then taken to an analysis centre and placed in a SEM whose travelling stage could accept 200 mm wafers. Converting the co-ordinates measured in the Surfscan to those applicable to the SEM allowed the particles to be found, imaged and analysed by X-ray energy dispersive spectroscopy. This paper discusses these results and includes some examples that show how elemental identification has been used to identify particle sources, allowing them to be eliminated.

Jonathan England, Andrew M. Waite, Simon Ruffell (2014)Method of implanting high aspect ratio features

Abstract Methods to implant ions into the sidewall of a three dimensional high aspect ratio feature, such as a trench or via, are disclosed. The methods utilize a phenomenon known as knock-in, which causes a first species of ions, already disposed in the fill material, to become implanted in the sidewall when these ions are struck by ions of a second species being implanted into the fill material. In some embodiments, these first species and second species have similar masses to facilitate knock-in. In some embodiments, the entire hole is not completely filled with fill material. Rather, some fill material is deposited, an ion implant is performed to cause knock-in to the sidewall adjacent to the deposited fill material, and the process is repeated until the hole is filled.

M. Foad, D. Armour, Z. Kilmes, B. Hilton, J. Van den Berg, C. Cook, A. Chew, D. Sykes, Jonathan England, A. Devaney, H. Ito, N. Bryan, F. Plumb, P. Kindersley, S. Moffatt (1995)Dedicated Test Facility for Ion Beam Quality Evaluation and Spectroscopies, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
Edwin A. Arevalo, Christopher R. Hatem, Anthony Renau, Jonathan England (2010)Techniques for forming shallow junctions

Techniques for forming shallow junctions are disclosed. In one particular exemplary embodiment, the techniques may be realized as a method for forming shallow junctions. The method may comprise generating an ion beam comprising molecular ions based on one or more materials selected from a group consisting of: digermane (Ge.sub.2H.sub.6), germanium nitride (Ge.sub.3N.sub.4), germanium-fluorine compounds (GF.sub.n, wherein n=1, 2, or 3), and other germanium-containing compounds. The method may also comprise causing the ion beam to impact a semiconductor wafer.

N. Hatzopoulos, S. Suder, J.A. van den Berg, S.E. Donnelly, C.E.A. Cook, D.G. Armour, D. Panknin, W. Fukarek, M. Lucassen, L. Frey, M.A. Foad, Jonathan England, S. Moffatt, P. Bailey, C.T. Noakes, H. Ohno (1997)Range and damage distributions in ultra-low energy boron implantation into silicon, In: Proceedings of 11th International Conference on Ion Implantation Technologypp. 527-530

An ultra high vacuum, low energy ion implanter was used in conjunction with a range of analytical techniques to study the range and damage distributions of B/sup +/ ions implanted at normal incidence into Si(100) samples held at room temperature. Samples were implanted over a dose range from 1E14 ions/cm/sup 2/ with and without a surface oxide layer and those implanted at 1 keV and below were capped with a nominal 20 nm layer of /sup 28/Si by ion beam deposition in situ in order to produce an oxygen equilibration layer for subsequent secondary ion mass spectrometry depth profiling. The samples were analysed using secondary ion mass spectroscopy, medium energy ion scattering, spectroscopic ellipsometry, spreading resistance profiling and high resolution, cross section transmission electron microscopy to obtain the range and damage distributions and junction depths. The general observations were that channelling occurs at all energies studied, and that the relationship between the damage and range distributions depends strongly on bombardment energy. Comparison of the range and damage profiles was carried out to ascertain the role of the surface in determining the behaviour of defects produced very close to it by the low energy implants required for the production of junctions at depths in the 20 to 50 nm range. The role of the surface or silicon/silicon dioxide interface as a defect sink significantly influences the B redistribution behaviour during rapid thermal annealing.

C. Lowrie, Jonathan England, A. Hunter, D. Burgin, B. Harrison (1997)Factors affecting the design of the Applied Materials xR80 implant family, In: Proceedings of 11th International Conference on Ion Implantation Technologypp. 447-449

This paper describes the assumptions made and solutions adopted by the xR80 design team in an attempt to optimise the overall cost performance ratio of the system, by design. The beamline design will be described in detail, together with the factors which increase low energy beam current transmission. New ion source and extraction technology have been key in producing high beam currents, concurrent with low maintenance intervals. To minimise the system footprint, several creative approaches have been taken in design of the beamline and also the handling system, particularly since the dimensional characteristics are to be maintained in the transition to 300 mm wafer size. These factors, together with the solutions adopted to maintain system maintainability will be described in detail in the paper.

Jonathan England (1993)Advanced charge neutralisation
Jonathan England, N. Bryan, H. Ito, D. Armour, J. Van den Berg, I. Fotheringham, P. Kindersley (1993)Measurement of Beam Potentials and Surface Voltages on Semiconductor Wafers Using an Ion Spectrometer, In: D. F. Downey, M. Farley, K.S. Jones, G. Ryding (eds.), Ion Implantation Technology-92: Proceedings of the Ninth International Conference on Ion Implantation Technology, Gainesvile, FL, USA, September 20-24, 1992
JA Van den Berg, AK Rossall, J England (2019)Arsenic plasma doping in Si characterized by high resolution medium energy ion scattering depth profile analysis, In: IIT 2018 Proceedings IEEE

In the plasma doping (PLAD) process studied, Si(100) wafers were exposed to an As containing plasma and pulse biased to between 4 and 10 kV to ion fluences of 1x1016 cm-2. Medium energy ion scattering (MEIS) analysis was applied after i) the PLAD implant, ii) two types of wet chemical clean and iii) spike annealing to 1000ºC, to determine quantitative depth profiles of As, Si and O and retained As dose at each stage. Following PLAD at a 7 kV bias, MEIS showed the formation of an intermixed Si/As layer which decayed almost linearly from a ~40% As fraction directly under a 1.2 nm thick surface oxide to near-zero over a depth of ~17 nm. A non-oxidizing wet clean is shown to etch away 7 nm of the mixed layer, stopping at a Si concentration of 4x1022 cm3 and remove around 80% of the implanted As. Concentration depth profiles of As visible to the beam in both random and aligned lattice directions, yielded the substitutional As profile and retained As dose of 8x1014 cm-2. This dose is shown to be strongly bias voltage (implant energy) dependent, giving a ~10-fold increase going from 4 to 10 kV. The application of an industry standard SPM wet clean on the other hand, produced a 13.5 nm thick surface oxide overlaying the retained As implant and, after annealing a substitutional As depth profile with a concentration of 1x1021 cm-3 over a depth greater than 10 nm. This gives a substitutional As dose of 1.35x1015 cm-2 which represents a 70 % increase on that measured after a non-oxydizing chemical wet clean.

Julian Blake, Jonathan England, Scott Holden, Steven R. Walther, Reuel Liebert, Richard S. Muka, Ukyo Jeong, Jinning Liu, Kyu-Ha Shim, Sandeep Mehta (2011)Techniques for temperature controlled ion implantation

Techniques for temperature-controlled ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for temperature-controlled ion implantation. The apparatus may comprise at least one thermal sensor adapted to measure a temperature of a wafer during an ion implantation process inside an end station of an ion implanter. The apparatus may also comprise a thermal conditioning unit coupled to the end station. The apparatus may further comprise a controller in communication with the thermal sensor and the thermal conditioning unit, wherein the controller compares the measured temperature to a desired wafer temperature and causes the thermal conditioning unit to adjust the temperature of the wafer based upon the comparison.

Jonathan England, Andrew Holmes (2000)Beam stop apparatus for an ion implanter

An ion implanter for implanting ions in a target substrate is arranged to scan the ion beam at the point of extraction of the beam from the ion source. The ion beam extraction assembly includes a tectrode construction in which an extraction electrode adjacent the ion source aperture is split into two halves. A differential voltage is applied across the two halves of the extraction electrode to deflect the ion beam being extracted from the ion source electrostatically. The plane of deflection is arranged to coincide with the plane if dispersion of the ions in a mass analyser magnet downstream of the extraction point and the deflected beam of ions of desired mass/charge ratio is still brought to focus at a common mass selection slit at the exit of the analyser magnet.

Jonathan England, Babak Adibi, Mitchell C. Taylor (1999)Ion implanter with post mass selection deceleration

A decel lens assembly (9) located between the mass selection flight tube and the substrate holder comprises a first electrode (65) at the substrate potential, a second electrode (60) at the flight tube potential and a field electrode (61) between the two at a negative potential to provide focusing. The axial spacing in the beam direction between the first and second electrodes is less than the smallest transverse dimension of the field electrode. The decel lens assembly (9) is mounted directly opposite the outlet from the process chamber to the vacuum pump to maximize evacuation efficiency. An additional screening electrode (56) is provided between the second electrode of the decel lens assembly and the exit aperture of the mass selector. A perforated screening cylinder (54) is mounted on the light tube with the second electrode of the lens assembly mounted at the down beam end of the cylinder. A first electrode has a cylindrical screening flange extending around the field electrode. A further screening electrode is located at the entrance to the electron confinement tube of the PFS system.

W. Szajnowski, Jonathan England, K. Stephens, I. Fotheringham, D. Scargill, E. Rimini (1995)Measuring a Two Dimensional Profile of an Ion Beam, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
Russell J. Low, Joseph C. Olson, David R. Timberlake, James R. McLane, Mark D. Saunders, James J. Cummings, Thomas B. Callahan, Jonathan England (2008)Methods and apparatus for glitch recovery in stationary-beam ion implantation process using fast ion beam control

An ion implanter includes a source of a stationary, planar ion beam, a set of beamline components that steer the ion beam along a normal beam path as determined by first operating parameter values, an end station that mechanically scans the wafer across the normal beam path, and control circuitry that responds to a glitch in the ion beam during implantation pass to (1) immediately alter an operating parameter of at least one of the beamline components to a second value to direct the ion beam away from the normal beam path and thereby cease implantation at an implantation transition location on the wafer, (2) subsequently move the wafer to an implantation-resuming position in which the implantation transition location on the wafer lies directly on the normal path of the ion beam, and (3) return the operating parameter to its first value to direct the ion beam along the normal beam path and resume ion implantation at the implantation transition location on the wafer. The operating parameter may be an output voltage of an extraction power supply, or other voltages and/or currents of beamline components that affect the path of the ion beam.

Jonathan England, Patrick M. Martin, David Cox (2015)Techniques for generating three dimensional structures

Techniques for forming a three dimensional (3D) feature on a substrate are disclosed. In one exemplary embodiment, the technique may be realized as a method comprising: forming a resist structure on the substrate, the resist structure having a first resist portion with a first thickness, a second resist portion with a second thickness, and a third resist portion with a third thickness, where the first thickness may be less than the second thickness, and where the second thickness may be less than the third thickness; implanting charged particles into the substrate through the first and second resist portions and forming an implanted region in the substrate; and etching the substrate to form the 3D feature on the substrate.

An ion implanter for implanting ions in a target substrate is arranged to scan the ion beam at the point of extraction of the beam from the ion source. The ion beam extraction assembly includes a tectrode construction in which an extraction electrode adjacent the ion source aperture is split into two halves. A differential voltage is applied across the two halves of the extraction electrode to deflect the ion beam being extracted from the ion source electrostatically. The plane of deflection is arranged to coincide with the plane if dispersion of the ions in a mass analyser magnet downstream of the extraction point and the deflected beam of ions of desired mass/charge ratio is still brought to focus at a common mass selection slit at the exit of the analyser magnet.

Russell J. Low, Jonathan England, Stephen E. Krause, Eric D. Hermanson (2009)Techniques for reducing effects of photoresist outgassing

Techniques for reducing effects of photoresist outgassing are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for reducing effects of photoresist outgassing in an ion implanter. The apparatus may comprise a drift tube located between an end-station and an upstream beamline component. The apparatus may also comprise a first variable aperture between the drift tube and the end-station. The apparatus may further comprise a second variable aperture between the drift tube and the upstream beamline component. The first variable aperture and the second variable aperture can be adjusted to facilitate differential pumping.

Jonathan England, Richard Stephen Muka, D. Jeffrey Lischer (2009)Techniques for low-temperature ion implantation

Techniques for low-temperature ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a wafer support mechanism to hold a wafer during ion implantation and to facilitate movement of the wafer in at least one dimension. The apparatus may also comprise a cooling mechanism coupled to the wafer support mechanism. The cooling mechanism may comprise a refrigeration unit, a closed loop of rigid pipes to circulate at least one coolant from the refrigeration unit to the wafer support mechanism, and one or more rotary bearings to couple the rigid pipes to accommodate the movement of the wafer in the at least one dimension.

Cuiyang Wang, Jonathan England, Hans Gossmann, Harold Persing, Tim Miller, Qi Gao, Shan Tang, Siamak Salimian (2017)Sidewall doping mechanism and doping profile tuning on 3D structure by plasma doping, In: 2017 17th International Workshop on Junction Technology (IWJT)pp. 58-61 Institute of Electrical and Electronics Engineers (IEEE)

In this paper the primary mechanisms for the plasma doping (PLAD) of 3D structures – direct implant, scattered implant, deposition & knock-in, and sputtering (etching) – are discussed. The TRI3DYN code was used to elucidate the roles these various doping mechanisms play. Through-fin SIMS profiles for an arsenic plasma doping process were calculated from the model and compared to experimental results. Further, by adjusting the competition and balance among these different doping mechanisms, we also demonstrate that the doping profile can be tuned on 3D fin structures for a boron plasma doping process.

Jonathan England, Michael I. Current, T. Kamata, Phil Malone, Hiro Ito (1994)Charge neutralisation during high-current ion implantation, In: Solid State Technologypp. 115-118 PennWell Corporation

During ion implantation, positive charge accumulates due to excess positive beam ions incident on the wafer as well as through the emission of secondary electrons from the wafer surface. This positive charge build-up is counteracted by the flow of electrons onto the wafer surface. These electrons are drawn from the beam plasma, from supplemental sources of electrons ("flood guns"), and from current flows along the wafer surface and through the bulk [1]. In a typical situation, these charge flows are not exactly balanced, especially in local regions (such as on isolated polysilicon structures), leading to voltage build-up and degradation or destruction of gate oxides and other dielectric films. A successful charge neutralization technology strives to balance the total charged flux into the region near the wafer surface and minimize net charge flow to the wafer. The potential differences between the ion beam plasma and the structures on the wafer surface influence the charge flow to the surface and must be minimized. Since build-up of excess electrons on the wafer surface may also lead to damage in sensitive dielectric films ("overflooding"), the energy of the electrons that are used to balance the positive charge flow must be lower than those potentials that can lead to oxide wearout and breakdown problems. In ion implanters, the beam generates too few electrons by collisional ionization of background gases to balance the positive ion beam current. To neutralize the beam, an auxiliary source of electrons--an electron "flood gun"--must provide additional negative charge.

Jonathan England, Richard Stephen Muka, Edwin A. Arevalo, Ziwei Fang, Vikram Singh (2013)Techniques for temperature-controlled ion implantation

Techniques for temperature-controlled ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for temperature-controlled ion implantation. The apparatus may comprise a platen to hold a wafer in a single-wafer process chamber during ion implantation, the platen including: a wafer clamping mechanism to secure the wafer onto the platen and to provide a predetermined thermal contact between the wafer and the platen, and one or more heating elements to pre-heat and maintain the platen in a predetermined temperature range above room temperature. The apparatus may also comprise a post-cooling station to cool down the wafer after ion implantation. The apparatus may further comprise a wafer handling assembly to load the wafer onto the pre-heated platen and to remove the wafer from the platen to the post-cooling station.

Joseph C. Olson, Jonathan England, Morgan D. Evans, Douglas Thomas Fielder, Gregg Alexander Norris, Shengwu Chang, Damian Brennan, William Gray Callahan (2008)Technique for uniformity tuning in an ion implanter system

A technique for uniformity tuning in an ion implanter system is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for ion beam uniformity tuning. The method may comprise generating an ion beam in an ion implanter system. The method may also comprise tuning one or more beam-line elements in the ion implanter system to reduce changes in a beam spot of the ion beam when the ion beam is scanned along a beam path. The method may further comprise adjusting a velocity profile for scanning the ion beam along the beam path such that the ion beam produces a substantially uniform ion beam profile along the beam path.

Craig R Chaney, Russell J. Low, Jonathan England (2008)Technique for improving ion implanter productivity

A technique for improving ion implanter productivity is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for improving productivity of an ion implanter having an ion source chamber. The method may comprise supplying a gaseous substance to the ion source chamber, the gaseous substance comprising one or more reactive species for generating ions for the ion implanter. The method may also comprise stopping the supply of the gaseous substance to the ion source chamber. The method may further comprise supplying a hydrogen containing gas to the ion source chamber for a period of time after stopping the supply of the gaseous substance.

David G. Armour, Jonathan England, Neil Bryan, Jakob A Van der Berg (1995)Spectrum analyzer in an ion implanter

An ion implanter for implanting ions into a semiconductor wafer comprises means for generating an ion beam, means for receiving charged particles ejected from the ion beam, first means for rejecting those of the received charged particles below a first predetermined energy, second means for rejecting those of the received charged particles above a second predetermined energy, the first predetermined energy being lower than the second predetermined energy. The ion implanter further comprises means to count the number of received charged particles between the first and second predetermined energies, and scanning means for scanning the first and second predetermined energies to determine the energy at which the number of received charged particles between the first and second predetermined energies is a maximum.

Uwe F. W. Behringer, A. James, F. Felten, M. Polli, Jonathan England, Thomas Marschner, Geert Vandenberghe (2000)Reticle imaging and metrology using a CD-SEM at IMEC, In: Uwe F. Behringer (eds.), 16th European Conference on Mask Technology for Integrated Circuits and Microcomponents3996pp. 128-133

Reticle imaging and metrology are becoming increasingly difficult as reticle features decrease in size. This paper describes some early results of top down CD-SEM reticle imaging and metrology carried out in association with the DUV and 193 nm lithography programs at IMEC. Images of reticle features and some corresponding printed wafer patterns are presented and CD-SEM and optical measurement techniques are compared.

Jonathan England, Steven R. Walther, Richard S. Muk, Julian G. Blake, Paul J. Murphy, Reuel B. Liebert (2012)Technique for low-temperature ion implantation

A technique for low-temperature ion implantation is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a pre-chill station located in proximity to an end station in an ion implanter; a cooling mechanism within the pre-chill station configured to cool a wafer from ambient temperature to a predetermined range less than ambient temperature; a loading assembly coupled to the pre-chill station and the end station; and a controller in communication with the loading assembly and the cooling mechanism to coordinate loading a wafer into the pre-chill station, cooling the wafer down to the predetermined temperature range before any ion implantation into the wafer, and loading the cooled wafer into the end station where the cooled wafer undergoes an ion implantation process.

Anthony Renau, Joseph C. Olson, Jonathan England (2009)Techniques for providing a ribbon-shaped gas cluster ion beam

Techniques for providing a ribbon-shaped gas cluster ion beam are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for providing a ribbon-shaped gas cluster ion beam. The apparatus may comprise at least one nozzle configured to inject a source gas at a sufficient speed into a low-pressure vacuum space to form gas clusters. The apparatus may also comprise at least one ionizer that causes at least a portion of the gas clusters to be ionized. The apparatus may further comprise a beam-shaping mechanism that forms a ribbon-shaped gas cluster ion beam based on the ionized gas clusters.

David G. Armour, Jonathan England, Neil Bryan, Jakob A. Van den Berg (1995)Spectrum analyzer in an ion implanter

An ion implanter for implanting ions into a semiconductor wafer comprises means for generating an ion beam, means for receiving charged particles ejected from the ion beam, first means for rejecting those of the received charged particles below a first predetermined energy, second means for rejecting those of the received charged particles above a second predetermined energy, the first predetermined energy being lower than the second predetermined energy. The ion implanter further comprises means to count the number of received charged particles between the first and second predetermined energies, and scanning means for scanning the first and second predetermined energies to determine the energy at which the number of received charged particles between the first and second predetermined energies is a maximum.

Jonathan England, Steven R. Walther, Richard S. Muka, Julian Blake, Paul J. Murphy, Reuel B. Liebert (2011)Technique for low-temperature ion implantation

A technique for low-temperature ion implantation is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a pre-chill station located in proximity to an end station in an ion implanter. The apparatus may also comprise a cooling mechanism within the pre-chill station. The apparatus may further comprise a loading assembly coupled to the pre-chill station and the end station. The apparatus may additionally comprise a controller in communication with the loading assembly and the cooling mechanism to coordinate loading a wafer into the pre-chill station, cooling the wafer down to a predetermined temperature range, and loading the cooled wafer into the end station where the cooled wafer undergoes an ion implantation process.

H. Ito, F. Plumb, Jonathan England, I. Fotheringham, P. Kindersley (1993)Considerations for Advanced Charging Solutions Applied to ULSI Device Fabrication, In: D. F. Downey, M. Farley, K.S. Jones, G. Ryding (eds.), Ion Implantation Technology-92: Proceedings of the Ninth International Conference on Ion Implantation Technology, Gainesvile, FL, USA, September 20-24, 1992
JG England, MB Spitzer (2010)Ion beam surface modification of solar module glass for reduced reflectance, In: 2010 35th IEEE Photovoltaic Specialists Conference (PVSC 2010)pp. 2798-2801

The surface reflectance of low-iron soda-lime glass imposes a 4% power loss in most flat plate modules. Optical coatings and surface textures are two approaches to decreasing this loss. In this paper we report on a third method comprising ion implantation of argon to adjust the index of refraction of the near surface region. We show that ion implantation provides a 50% reduction in the reflectance. This method appears to have general applicability owing to the wide use of soda-lime glass in module manufacturing.

High energy neutral contamination in an ion implanter can be caused by beam ions neutralised as they are temporarily accelerated at an electrode before being decelerated again to the desired implant energy. This occurs for example in the decel lens arrangement which includes an electrode at a relatively high negative potential to provide the required focusing. The level of this contamination is monitored by measuring the current drain on this negative field electrode.

M.A. Foad, Jonathan England, S. Moffatt, D.G. Armour (1997)Analysis of sub-1keV Implants in Silicon using SIMS, SRP, MEISS and DLTS: The xRLEAP Low Energy, High Current Implanter Evaluated, In: Proceedings of 11th International Conference on Ion Implantation Technologypp. 603-606

Ultra shallow junctions can be formed, amongst other techniques, by very low energy ion implantation. The Implant Division of Applied Materials have recently developed a low energy, high current ion implanter, the xRLEAP (xR family, Low Energy Advance Process). This implanter is capable of delivering product worthy beam currents, in the milli-ampere regime down to energies of few hundred electron volts. A series of B and BF/sub 2/ implants were carried out onto non-amorphised, 200 mm Si wafers using beam energies in the range 0.2 keV

J. Horvath, N. Little, D. Rigsby, M. Anthony, Jonathan England (1995)Reduction of Boron Cross Contamination During Arsenic Implantation in the Applied Materials Precision Implant 9500, In: S. Coffa, G Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
H. Ito, T. Kamata, Jonathan England, I. Fotheringham, F. Plumb, M. Current (1995)The Precision Implant 9500 Plasma Flood System, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
Jonathan England, C. Cook, D. Armour, M. Foad (1995)Charged particle energy spectrometers and their applications in fundamental studies of wafer charging and ion beam tuning phenomena, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994
Andrew M. Waite, Jonathan England, Rajesh Prasad (2015)Method of doping a polycrystalline transistor channel for vertical NAND devices

A method of doping the polycrystalline channel in a vertical FLASH device is disclosed. This method uses a plurality of high energy ion implants to dope the channel at various depths of the channel. In some embodiments, these ion implants are performed at an angle offset from the normal direction, such that the implanted ions pass through at least a portion of the surrounding ONO stack. By passing through the ONO stack, the distribution of ranges reached by each ion may differ from that created by a vertical implant.

T. Hoffmann, G. Storms, M. Ercken, M. Maenhoudt, I. Pollentier, K. Ronse, F. Felten, E. Wong, Jonathan England (2001)Impact of 193nm Resist Shrinkage on CD-SEM Metrology
Jonathan England, Christopher R. Hatem, Jay Thomas Scheuer, Joseph C. Olson (2009)Ion implantation device with a dual pumping mode and method thereof

An ion implantation device with a dual pumping mode and method thereof for use in producing atomic or molecular ion beams are disclosed. In one particular exemplary embodiment, an ion implantation apparatus is provided for controlling a pressure within an ion beam source housing corresponding to an ion beam species being produced. The ion implantation apparatus may include the ion beam source housing comprising a plurality of species for use in ion beam production. A pumping section may also be included for evacuating gas from the ion beam source housing. A controller may further be included for controlling the pumping section according to pumping parameters corresponding to a species of the plurality of species being used for ion beam production.

Jonathan England, Stephen Moffatt, David George Armour, Majeed Foad (1999)Ion implanter with post mass selection deceleration

A post mass selection decel lens (9) is located between the exit aperture (55) of the mass selection chamber (47) and the entry (74) to the electron confinement tube (69) of the PFS. The lens comprises a first electrode (65) at the substrate potential, a second electrode (60) at the flight tube potential, and a field electrode (61) between them at a relatively high (negative) potential sufficient to provide focusing of the ion beam at the first electrode. The first electrode is larger than the beam to avoid deflecting ions at the periphery of the aperture out of the beam. The first electrode has an aperture which is smaller than that of the field electrode. The field electrode is at least -5 kV relative to the flight tube, that is substantially more than required for electron suppression. Additional apertures are provided between the process chamber and the mass selection chamber to improve evacuation.

Russell J. Low, Jonathan England, Stephen E. Krause, Eric D. Hermanson (2009)Techniques for preventing parasitic beamlets from affecting ion implantation

Techniques for preventing parasitic beamlets from affecting ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for preventing parasitic beamlets from affecting ion implantation. The apparatus may comprise a controller that is configured to scan a spot beam back and forth, thereby forming an ion beam spanning a predetermined width. The apparatus may also comprise an aperture mechanism that, if kept stationary, allows the spot beam to pass through. The apparatus may further comprise a synchronization mechanism, coupled to the controller and the aperture mechanism, that is configured to cause the aperture mechanism to move in synchronization with the scanned spot beam, allowing the scanned spot beam to pass through but blocking one or more parasitic beamlets associated with the spot beam.

Jonathan England, Richard Stephen Muka, Scott C Holden (2010)Techniques for temperature-controlled ion implantation

Techniques for temperature-controlled ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for high-temperature ion implantation. The apparatus may comprise a platen to hold a wafer in a single-wafer process chamber during ion implantation, the platen having a wafer interface to provide a predetermined thermal contact between the wafer and the platen. The apparatus may also comprise an array of heating elements to heat the wafer while the wafer is held on the platen to achieve a predetermined temperature profile on the wafer during ion implantation, the heating elements being external to the platen. The apparatus may further comprise a post-implant cooling station to cool down the wafer after ion implantation of the wafer.

Michael T. Wauk, Matt Castle, Jonathan England, Michael Current (1994)Controlling heavy metal and dopant contamination during ion implantation, In: Microcontamination12(10)pp. 29-37 Canon Communications

The energetic processes used in ion implantation give rise to many mechanisms that can result in the contamination of implanted surfaces by metallic and dopant atoms. This article discusses methods used to identify the sources of such contamination, and gives examples of ways to minimize or eliminate the transfer of contaminant atoms to implanted wafers during high-current ion implantation.

M. Foad, D. Armour, Jonathan England (1995)The Use of KOBRA for Implanter Beamline Design and Optimisation, In: S. Coffa, G. Ferla, E. Rimini, F. Priolo (eds.), Ion Implantation Technology-94: Proceedings of the Tenth International Conference on Ion Implantation Technology Catania, Italy, June 13-17, 1994